As Intel attracts billions in funding, onboarding exterior clients to 18A will inform the way forward for cutting-edge semiconductor fabrication in the USA
Through the current Intel Know-how Tour in Arizona, the corporate shared particulars of its forthcoming consumer and server SoCs, each of that are constructed on 18A, Intel’s newest course of node. We’ll get into the main points of the Intel Core Extremely sequence 3 (Panther Lake) and Intel Xeon 6+ (Clearwater Forest) however the important thing level right here is much less about product bulletins, and extra so about that these newest merchandise are being manufactured utilizing essentially the most superior course of on the planet, and that functionality is situated in the USA.
Whereas the majority of the Intel Know-how Tour was devoted to deep dives into the options and capabilities of Panther Lake and Clearwater Forest, in addition to a have a look at edge AI use circumstances that may be delivered domestically with on-device processing, the introductory keynote talks had a transparent theme.
Itemizing off advantages Intel Foundry is delivering to the merchandise aspect of Intel — RibbonFET, PowerVia, superior packaging, and logic scaling and stacking — Chief Know-how and AI Officer Sachin Katti mentioned, “18A is the inspiration for our future and we’re totally targeted on scaling it.”
Kevin O’Buckley, SVP and GM of Foundry Companies, highlighted Fab 52, the most recent addition to the corporate’s Ocotillo campus. “I’m going to be just a little repetitive with you on one level:…We’re extraordinarily proud to be bringing to market the world’s most superior semiconductor expertise out there at present in manufacturing in our 18A node. It’s constructed on the again of 57-plus years of funding by us at Intel in growth and manufacturing right here within the U.S. We’re very proud for the half that we’re taking part in in strengthening a resilient provide chain for our complete business — one thing not simply U.S. clients are asking for; one thing that’s essential for all clients globally.”

Auth discussing 18A. Picture courtesy of Intel.
Subsequent up, VP and Director of Superior Know-how Applications and Companies Chris Auth took the stage. He shared particulars on RibbonFET, a gate-all-around transistor tech, and bottom energy supply development PowerVia. He mentioned manufacturing on the 18A node is ramping in factories in Arizona and Oregon.
“The important thing level right here,” Auth continued, “is that these are essentially the most superior semiconductor services not solely within the U.S. however on the planet. Let me be sure to get that one: these are essentially the most superior semiconductor services on the planet…There’s a story that we don’t do superior semiconductor manufacturing in the USA, however right here we’re doing it in two states within the U.S.” He mentioned quantity manufacturing on 18A “goes to launch a decade of enhancement and enchancment” of RibbonFET and PowerVia. “And this actually permits the chips of tomorrow and attending to that 1 trillion transistor laptop computer.”
These of us within the storytelling enterprise name this an escalating narrative. Katti emphasised scaling 18A as elementary to Intel’s future outlook. O’Buckley framed 18A as necessary to Intel, necessary to the exterior clients it’s making an attempt to onboard and necessary for international semiconductor provide chain resiliency. Auth distributed with any subtext, acknowledging the narrative round Taiwan’s pole place in semiconductor fabrication expertise, and asserting Intel’s (and by proxy the USA’) functionality benefit.
Right here’s what I believe is a little bit of fascinating context and scene-setting. Intel hosted the occasion on the Sheraton Grand Wild Horse Go, a resort that sits on land owned by the Gila River Indian Neighborhood and that was developed with intensive enter from neighborhood elders. This resort is an extremely tasteful immersion into the native tradition, from on-site meals and beverage choices and the artwork and textiles in widespread areas and visitor rooms to an interpretive path and a neighborhood hiring choice. That is my remark — and I really feel certified to make it primarily based on the amount of journey I do and that my spouse is a profession hospitality skilled — however that mixture of delight in place and staffing a spot with folks happy with it interprets right into a high-touch service expertise that was very noticeable — shout out to Alex on the foyer bar; an actual professional.

The Sheraton Grand Wild Horse Go in Chandler, Arizona.
Throughout from Intel’s Ocotillo campus in Chandler, Arizona, is a little bit of beautiful farmland additionally owned by the Gila River Indian Neighborhood. Inside Fab 52 and different Intel fabrication services are machines and enter supplies and folks from all around the world. All that to say, whereas the purpose (and significance) of the U.S. being a pacesetter in superior semiconductor manufacturing wasn’t wasted on me, the concept of sovereignty as a easy idea — when it completely isn’t — and of American exceptionalism as a operate of many years of globalization, was additionally not wasted on me. However I digress…
The fruits of 5N4Y — a brand new transistor structure, a brand new interconnect strategy
The launch of merchandise constructed on 18A is the fruits of years of labor from Intel, together with a brand new transistor structure, a brand new strategy to interconnect and myriad chiplet packaging developments. 18A can also be the conclusion of the 5 nodes in 4 years (5N4Y) technique introduced in July 2021 — this was primarily Gelsinger’s turnaround technique. The 5 nodes, key options and notable merchandise are:
- Intel 7, a 10-nanometer course of marked by the Enhanced SuperFin (ESF) transistor structure, and used for Alder Lake and Sapphire Rapids.
- Intel 4, a 7-nanometer course of that launched Excessive Ultraviolet (EUV) lithography, and was used for Meteor Lake and Granite Rapids. This course of additionally marked the transfer to chiplet packaging.
- Intel 3, a 3-nanometer course of that, in comparison with its predecessor, improved efficiency per watt by 18%, and was productized as Xeon 6.
- Intel 20A marked a swap from nanometer-based measurements to angstrom-based measurements; there are 10 angstroms in a single nanometer. Whereas 20A by no means made it into full-on manufacturing — Arrow Lake was fabricated by a 3rd occasion and packaged by Intel — it “immediately knowledgeable the primary industrial implementation” of RibbonFET and PowerVia in 18A.
Again to Auth: “The center of any semiconductor chip is the transistor and the interconnects that not solely join them collectively however to the surface world…Intel 18A has two of essentially the most superior options we’ve ever produced” — RibbonFET and PowerVia. “The mixture of those two allow each space scaling and energy effectivity…and it’s developed and manufactured right here within the U.S.”
Round a decade in the past, Intel launched the FinFET transistor structure which changed 40 years of planar expertise with a 3D expertise the place fins of silicon enabled elevated density, albeit with a weak spot on the backside as a result of the gate couldn’t utterly wrap across the transistor. “That is the place RibbonFET shines,” Auth mentioned. “There’s no weak factors.” He described sheets of silicon layered on prime of each other utterly wrapped by the gate. “The RibbonFET transistor permits us to proceed to scale the transistor.”
Earlier than moving into PowerVia, Auth mirrored on six many years of built-in circuits as marked by interconnects (energy and sign) at all times shaped on the entrance aspect of a wafer. Energy traces, because the title suggests, convey energy from the package deal to the transistor; sign traces let the transistors speak to one another. Energy traces profit from massive traces with little resistance; sign traces need to unfold out to attenuate interference. “What we’ve completed with PowerVia is we’ve taken these energy traces, we’ve moved them from the frontside and put them on the bottom of the wafer…We now have loads of house to make massive, fats energy traces…and now, on the frontside, that opens up house. We are able to now enable the sign traces to unfold out just a little bit extra.”
The consequence here’s a 10% increased transistor density and discount in energy loss between the package deal and the transistors. Auth addressed the notion that bottom energy supply would drive up prices: he mentioned Intel didn’t slap a frontside course of onto the bottom of the wafer, quite optimized the method for bottom energy from the beginning; this diminished course of value and the variety of steps in comparison with Intel 3. And, “Intel’s the one one who’s doing this in manufacturing at present.”
Intel executives additionally emphasised the corporate’s superior packaging and stacking applied sciences. For the informal reader, that is the method whereby completely different discrete chiplets — CPUs, GPUs, NPUs, reminiscence, and so on… — are put collectively right into a single system. For Panther Lake, Intel utilized its Foveros superior packaging expertise, particularly Foveros-S 2.5D. The important thing advantages listed here are latency discount delivered by minimizing the gap between elements; optimum house utilization as a result of elements might be stacked quite than unfold horizontally; and the potential for manufacturing value discount through the use of smaller, specialised dies on superior nodes whereas reusing confirmed IP blocks on mature nodes to drive yield. One other related piece of the very complicated puzzle is EMIB, Embedded Multi-die Interconnect Bridge, which pertains to each Auth’s earlier feedback on interconnects in addition to enhances Foveros
by way of driving efficiency and performance. Extra on Foveros right here, and extra on EMIB right here.
Panther Lake options as much as 16 efficiency (P) cores and environment friendly (E) cores; the brand new CPUs are 50% quicker than the earlier technology. The Arc GPU has as much as 12 Xe cores which ship 50% quicker graphics efficiency than its predecessor. The key emphasis, which we’ll broaden on in subsequent writing, was round leveraging Panther Lake’s in-built 180 TOPS for edge AI. As a result of pretty intensive processing of AI workloads might be carried out on-device, this brings quite a few advantages round latency, safety, value and different elements, whereas additionally opening up purposes for every little thing from retail and robotics to healthcare and site visitors administration. Count on units that includes Panther Lake early subsequent yr, maybe at everybody’s favourite shopper electronics present.
Clearwater Forest, which Intel characterised as “tailor-made for hyperscale information facilities, cloud suppliers and telcos,” options as much as 288 E-cores and brings enhancements in density, throughput and energy effectivity. The purpose right here is scalable, power-efficient AI computing. To place this into actual world context, think about a hypothetical telco information heart working Intel’s second-gen Xeon chips on 1,400 servers throughout 70 racks. If that operator have been to transition to Xeon 6+, they might do extra with much less — considerably much less; a comparable setup would solely take 180 servers in 20 racks. The transfer to Clearwater Forest would additionally convey a few 750-watt discount in energy draw and a 3.5x efficiency acquire. With regard to packaging, Xeon 6+ will use Foveros Direct 3D, a primary in high-volume manufacturing.
Beneath new CEO Lip-Bu Tan, Intel has made vital changes to its employee-base and international manufacturing investments. On a second quarter earnings name, Tan mentioned, “Sadly, the capability funding we made over the past a number of years have been nicely forward of demand and have been unwise and extreme…Going ahead, we’ll develop our capability primarily based solely on the amount commitments and deploy capex lockstep with tangible milestones, and never earlier than.”
So whereas the corporate brings to market its personal merchandise constructed on 18A, and appears for “quantity commitments” from exterior foundry clients, what does that imply for 14A, the following course of node, and the longer-term outlook for growing modern semiconductor fabrication capability within the U.S.?
In a 10-Q filed on July 24, Intel advised the SEC, that it’s going to proceed to develop 18A-P, a “spinoff node…designed for future Intel merchandise and exterior clients…We’re targeted on the continued growth of Intel 14A…and on securing a major exterior buyer for such node. Nevertheless, if we’re unable to safe a major exterior buyer and meet necessary buyer milestones for Intel 14A, we face the prospect that it’s going to not be economical to develop and manufacture Intel 14A and successor modern nodes on a go-forward foundation. In such occasion, we might pause or discontinue our pursuit of Intel 14A and successor nodes and varied of our manufacturing growth initiatives.”
4 weeks, $15.9 billion in new funding
Intel has had an fascinating and impactful 57 years however, as the corporate appears to be like to reinvent itself for the AI period and entice non-Intel clients to its fabrication enterprise, the corporate has had a very eventful previous two months. I believe it’s secure to name it an historic inflection level, or sequence of inflection factors, spanning the technical, the monetary and the organizational.
On Aug. 18, Japanese powerhouse SoftBank introduced a definitive securities buy settlement that noticed it purchase $2 billion of Intel’s widespread inventory. SoftBank Founder and CEO Masayoshi Son mentioned in an announcement: “Semiconductors are the inspiration of each business. For greater than 50 years, Intel has been a trusted chief in innovation. This strategic funding displays our perception that superior semiconductor manufacturing and provide will additional broaden in the USA, with Intel taking part in a essential function.”
4 days later, on Aug. 22, the Trump Administration agreed to take a position $8.9 billion in Intel widespread inventory. That cash got here from unawarded CHIPS and Science Act grant funding ($5.7 billion) and from the Safe Enclave program ($3.2 billion); the federal government has additionally dispersed $2.2 billion in CHIPS and Science Act grants to Intel. Tan, who changed Pat Gelsinger late final yr, mentioned in an announcement: “As the one semiconductor firm that does modern logic R&D and manufacturing within the U.S., Intel is deeply dedicated to making sure the world’s most superior applied sciences are American made. President Trump’s give attention to U.S. chip manufacturing is driving historic investments in an important business that’s integral to the nation’s financial and nationwide safety.”
On Sept. 8, Tan made public vital modifications to Intel’s management. Previously of NXP, Qualcomm and Arm, amongst others, Kevork Kechichian joined as EVP and GM of the Knowledge Middle Group to supervise the cloud and enterprise information heart enterprise which additionally contains the Xeon line. Intel veteran Jim Johnson was named SVP and GM of the Shopper Computing Group, taking care of the PC and edge companies. Srini Iyengar, who joined Intel from Cadence Design Methods in June, was put accountable for the brand new Central Engineering Group to “lead horizontal engineering capabilities and construct a brand new customized silicon enterprise to serve a broad vary of exterior clients.” Intel Foundry EVP and Chief Know-how and Operations Officer Naga Chandrasekaran, previously of Micron, took on an expanded function to incorporate Intel Foundry Companies; the concept was to “create a extra built-in construction spanning expertise growth, manufacturing and go-to-market to higher serve clients.”
Then, on Sept. 18, Intel and NVIDIA introduced a brand new product growth partnership, in addition to that NVIDIA would make investments $5 billion in Intel widespread inventory. On the product aspect, the 2 corporations are working to attach Intel and NVIDIA architectures utilizing the previous’s NVLink interconnect resolution. For the info heart, Intel is constructing custom-made x86 CPUs that NVIDIA will combine into its AI infrastructure platforms. For consumer, Intel will combine NVIDIA RTX GPU chiplets into its x86 SoCs.
Inside Intel’s Fab 52 — the equipment that’s constructing our future
The crescendo of the Intel Know-how Tour was a tour of fabs 42 and 52 on the Ocotillo campus. Building on Fab 52 began in 2021 and it’s now totally operational and set to succeed in “high-volume manufacturing” later this yr. Listed here are a number of info in regards to the Fab 52 building course of courtesy of Intel: greater than 1 million meters of soil and rock — the equal of 400 Olympic-size swimming swimming pools — have been excavated; round 600,000 cubic meters of concrete was poured; 75,000 tons of metal, double what was used to construct the Burj Khalifa in Dubai, was used for reinforcement; and 35,000 tons of structural metal, five-times the load of the Eiffel Tower, was erected. And there’s additionally room on the positioning for a Fab 62…

Anyway, these info and figures are fascinating however, relative to strolling by way of the fabs — really seeing the entire system at work — it’s sort of like making an attempt to explain to somebody what sundown over the Grand Canyon feels like. It’s an summary, private factor, which is a dissonant assertion as a result of it’s additionally a really tangible, bodily course of.
After receiving a safety briefing and donning a bunny swimsuit in a gowning room, we walked by way of Fab 42 and into Fab 52. The extent of automation is outstanding. A ceiling-mounted automated supplies dealing with system whizzes front-opening unified pods containing silicon wafers from machine to machine. Rows and rows of huge implements are labelled with an animal/numerical system meant to make sure engineers work on the appropriate of the near-identical trying instruments. The ambient noise of the air recirculation system is sort of loud, and the yellow mild (obligatory in order to not intrude with the fragile tools) offers the entire thing a little bit of an alien texture.
All that to say, I discovered my time within the fabs to be fairly overwhelming, stimulating and noteworthy, actually. It’s not readily quantifiable however I believe that is possibly essentially the most complicated, exact factor that people have discovered how you can do. The regard for semiconductor fabrication as a strategic geopolitical asset is right, as is the funding in diversification of the semiconductor provide chain; given America’s pedigree in high-tech business, there’s a transparent logic in constructing out extra capability right here. Nationalistic narratives usually flip me off however this one doesn’t.
My three-year-old son is continually taking part in with pulleys, screwdrivers, wire and different issues that he usually refers to as “equipment;” what meaning to him isn’t precisely clear to me. What is obvious to me although is that these fabs within the Arizona desert comprise the equipment constructing my future, his future and our collective future. Whether or not it’s proper or flawed, one thing about that equipment being in the USA offers me a way of peace and delight.